Lithography market challenges manufacturers

Oct. 1, 1997
During the last two years, Cymer Inc. (San Diego, CA) has undergone an expansion unparalleled in the history of the semiconductor equipment industry, according to company CEO and president, Robert Akins. "Over the course of 1996 we ramped up our manufacturing by more than 1000%. This is the first volume marketplace for a sophisticated excimer laser," he says.
FIGURE 1. The introduction of new excimer laser technologies allow smaller feature sizes while vastly increasing the capacities of DRAM chips.
FIGURE 1. The introduction of new excimer laser technologies allow smaller feature sizes while vastly increasing the capacities of DRAM chips.

During the last two years, Cymer Inc. (San Diego, CA) has undergone an expansion unparalleled in the history of the semiconductor equipment industry, according to company CEO and president, Robert Akins. "Over the course of 1996 we ramped up our manufacturing by more than 1000%. This is the first volume marketplace for a sophisticated excimer laser," he says.

Cymer supplies light sources for deep ultraviolet (DUV) photolithography systemssales of Cymer excimer lasers that produce the illumination for precision microlithography tools such as steppers and scanners are up 350% over the past year. Company sales exploded from $18 million in 1995 to $65 million in 1996. Cymer, founded in 1986 as Cymer Laser Technology by Akins and partner Richard Sandstrom (now vice president of advanced research), went public in September 1996 at $9.50 per share. Just one year later a share of Cymer stock was trading at $94. On September 11, 1997, the company offered a two-for-one stock split, increasing the number of shares of common stock outstanding from about 14 million to 28 million.

Cymer`s phenomenal growth is a direct result of an industry outgrowing an older technology. Mercury-arc lamps has been the technology of choice for photolithography over the last 20 years. "But beyond 0.35-µm critical dimensions on the wafer, the mercury bulb source is limited," says Akins. "It has difficulty extending to smaller dimensions."

Enter the excimer laser. Over the last few years the semiconductor industry has been switching from mercury-arc lamps to lasers. Akins expected the switch to come much earlier, but with a resistance to change and little extra money, industry technicians continued to extend the capability of the lamp with technological tricks.

The demand for excimer lasers in lithography is just beginning. Krypton fluoride (KrF) lasers at 248 nm are only now having an impact on the production world, with most memory-chip manufacturers starting to produce 64-Mbit DRAMs with 0.25-µm feature sizes in the first quarter of 1998 (see Fig. 1). Akins predicts that 193-nm argon fluoride (ArF) lasers will be used in 2001 for fabricating 0.15 µm features, and 157-nm fluorine (F2) lasers are a possibility beyond that.

Gordon Moore, chairman emeritus and founder of Intel (Santa Clara, CA), posited his now-famous "Moore`s Law" in 1965: "The power and complexity of the silicon chip will double every 18 months with proportionate decrease in cost." The ceaseless competition to obey this "rule"and share in the profits to be found by doing sois the basis for Cymer`s recent success. Indeed, Moore himself said in his keynote address at the 1996 International Electron Devices Meeting (IEDM) that "lithography seems to be the key technology we need to keep the whole curve going where we`ve gone before."

DUV market soaring

After doldrums in the early part of this decade, DUV lithography system sales have experienced near-exponential growth over the last few years (see Fig. 2). Klaus Rinnen, principal analyst with Dataquest Inc., an information technology market research and analysis company, notes that the market is currently driven by the demand for DRAM chips and that the 0.3-µm feature size represents an "economic pivot point" where a technology transition is occurring. "DUV and mercury i-line lithography systems become comparable in cost of ownership at 0.3 µm," Rinnen says. "Microprocessor manufacturers have been early in the move to DUV. . . . With smaller margin for DRAM chips, manufacturers feel pressure to stay as long as possible with a particular technology and push it. But all manufacturers know they will have to go to DUV systems eventually."

Most of those manufacturers are turning to Cymer for these DUV systems, says Leonard Sanders, an analyst with the investment banking firm Needham and Company. "In general the transition to DUV has been happening at a faster rate than most people had thought it would last year at this time, and that`s obviously benefited Cymer," says Sanders. Cymer is "currently the only company that really has a production-worthy DUV excimer laser for semiconductor applications. . . . The thing that gives me the most confidence in them is that the two largest wafer stepper manufacturers, Nikon and Canon, have both invested in Cymer."

Cymer currently holds 90% of the DUV lithography market, according to Akins. Two other major companies, Lambda Physik (Göttingen, Germany) and Komatsu (Tokyo, Japan), are struggling to develop a presence that provides sufficient technological performance and reliability. Lambda Physik, strong in industrial, scientific and medical applications of excimer lasers, is transferring its expertise to lithography through its Novaline Litho product line. "In a few months from now you`ll see that we have put our act together in the lithography field," says Dirk Basting, Lambda Physik president and CEO.

Komatsu hopes to win a 50% market share worldwide in the year 2000 time frame. The company has begun marketing its KLES-G10K long-life, volume production lasercurrent production capacity is just 10 units per year but is slated to rise to 500 by March, 2001.

Most analysts expect sales of DUV stepper systems to grow vigorously over the next five years, until 16-Mbit DRAM chip production reaches a peak and manufacturers make the full transition to 64-Mbit chips. For feature sizes below 0.15 µm, the industry is looking to 193-nm lithography (see Laser Focus World, July 1997, p. 82). "That`s very exciting," says Lambda Physik`s Basting, "because if you look at how 248 nm kicks in and how 193 nm will probably happen, you`re left with 2000 to 2010 as a product cycle for the excimer laser there. It`s always difficult to project a new technology, and people have made a lot of mistakes. But here you have a clear path, and you pretty much know what kind of laser generation will be required when."

And after that? "Beyond 0.18 µm," Gordon Moore said in his IEDM address, "life is going to get more difficult."

Planning for the future

Cymer hopes to keep life simple. Akins says, "Our intent is to maintain a market leadership position by providing the marketplace with excimer lasers of such service and performance levels that the decision to use anything but a Cymer to power your stepper or scanner becomes a very questionable decision."

In order to meet present and future demands, Cymer signed a contracting partnership with Seiko Instruments (Tokyo, Japan) in September 1992. "We feel that Nikon and Canon would ultimately prefer to buy a laser from a Japanese source," says Akins. "So instead of waiting for Komatsu to do that, we decided to go proactive." Seiko builds and sells to Cymer, which, in turn, sells to Nikon and Canon. "[Seiko is] like a super subcontractor, which goes right in line with our philosophy to subcontract out everything that we possibly can on the building of these lasers," says Akins. Cymer currently subcontracts the manufacture of more than 80% of its product. This has led to a substantial ramp up for the company`s suppliers as well.

The company also has an on-going partnership with Sematech (Austin, TX), the United States electronics consortium. The group helped fund the development of the 5000 series KrF excimer laser, the subject of the present ramp-up. Support offices have also recently been established in Korea and Taiwan. Cymer is making similar moves in Europe and in the United States.

"Growth is a very significant challenge for us," says Akins. The company is just now starting to get on top of orders. "We were unable to meet demands for the last six quarters. Probably in the third quarter we will be able to say we have shipped the backlog out of the backlog."

In spite of this tremendous effort to build and support excimer lasers, Akins is quick to point out that Cymer is not a laser company. "We are a semiconductor equipment manufacturing company that happens to be building a laser today," he says, noting that this was the reason for the name change when the company went public from Cymer Laser Technology to Cymer Inc.

"Our charter is to build boxes that produce radiation of decreasing wavelength for lithography," Akins says. "Right now this means excimer lasers. After that, we will make a progression to a different technology, perhaps ion beam lithography or x-ray. In any case, it is our intent to be the producers of those light sources. We are already conceptualizing ideas for sources beyond excimer lasers that aren`t lasers."

About the Author

Laurie Ann Peach | Assistant Editor, Technology

Laurie Ann Peach was Assistant Editor, Technology at Laser Focus World.

About the Author

David Appell | Assistant Editor, Technology

David Appell was Assistant Editor, Technology for Laser Focus World.

Sponsored Recommendations

Precision Motion Control for Photonics: 5 Keys to Success

Aug. 30, 2024
Precision motion control is a key element in the development and production of silicon-photonic devices. Yet, when nanometers matter, it can be challenging to evaluate and implement...

Precision Motion Control for Sample Manipulation in Ultra-High Resolution Tomography

Aug. 30, 2024
Learn the critical items that designers and engineers must consider when attempting to achieve reliable ultra-high resolution tomography results here!

Motion Control Technologies for Medical Device Joining Applications

Aug. 30, 2024
Automated laser welding is beneficial in medical device manufacturing due to its precision, cleanliness, and efficiency. When properly optimized, it allows OEMs to achieve extremely...

How to Maximize Machine Building Performance with High-Performance Laser Processing

Aug. 30, 2024
Learn how an automotive high-speed laser blanking machine manufacturer builds machines that maximize throughput for faster processing speeds and improved productivity.

Voice your opinion!

To join the conversation, and become an exclusive member of Laser Focus World, create an account today!